SEMICONDUCTOR INDUSTRY

UPDATE

June 2018

McIlvaine Company

Table of Contents

________________________________________________________________________________________________________________________________________

 

Opto Tech, Tyntek Expanding Si-Based Sensor Chip Capacities

LED chip makers Opto Tech and Tyntek are expanding production capacities for Si-base sensor chips and will bring additional capacities into operation in July and September 2018 respectively, according to the companies.

Among Taiwan-based LED chip makers, Opto Tech and Tyntek stepped into production of Si-based sensor chips years ago, with Tyntek acquiring manufacturing equipment from LED epitaxial wafer and chip maker Epistar.

For sensors used in biometrics, autonomous driving, AI (artificial intelligence) and industrial automation, VCSEL (vertical-cavity surface-emitting laser) chips or infrared LED chips are used as signal transmitters, while Si-based sensor chips are used as signal receivers.

In view of growing demand for Si-based sensor chips, Opto Tech expanded production capacity by 10% in the second half of 2017 and is further expanding the capacity by 20% with investment of NT$400 million (US$13.4 million). Opto Tech supplies Si-based sensor chips mainly for use in smartwatches or wrist bands to measure heart rates and SpO2 and expects the revenue proportion for Si-based sensor chips to rise from 48% in the first quarter of 2018 to over 50% in the second half of the year.

Tyntek has a factory with monthly production capacity of 60,000 5-inch Si-based epitaxial wafers for making sensor chips and is constructing another with initial monthly capacity of 30,000 6-inch epitaxial wafers. Currently, the company has 50% of consolidated revenues from Si-based sensor chips, 45% from LED chips and 5% from receiver devices used in fiber-optic communication.

 

Chengdu CEC Panda Display Expanding TV Panel Output

As one of the major IT hubs in China, Chengdu, the capital city of the southwestern province of Sichuan, is actively developing a mega complex in the suburban Shuangliu District to cluster mainly semiconductor players and some prospective investors in other IT fields, seeking to accelerate strategic developments of IC designs, software design tools and smart manufacturing. Revenues from the complex are estimated to reach CNY20 billion (US$3.11 billion) by 2020 and break CNY100 billion by 2030, according to Chengdu Xingu Industrial Parks Development.

Among the companies there is Chengdu CEC Panda Display Technology, a newcomer to China's LCD panel industry but touted as the country's most successful homegrown panel development project.

The company spent only 16 months completing the construction of its 8.6G panel line, from groundbreaking in September 2016 to successful trial production in January 2018, compared to 18-20 months required by other peer makers, according to Li Xiangfeng, director of the firm's production process. He revealed that the young company has a young workforce, with the average age of all its employees reaching only 26.8.

LCD displays will remain the market mainstream within the next five years, with 4K displays already becoming standard screens for midrange to high-end TVs and 8K TVs to be available soon, Lee commented, adding that 8K panels are already on his company's production agenda for 2018.

With a total investment cost of CNY28 billion, the plant mainly adopts 8.6G Nikon lithography machines and applies five-mask metal oxide processing technology in manufacturing 4K, 8K IGZO (indium gallium zinc oxide) TV panels, according to Lee.

Lee continued that his plant now mainly manufactures large-size IGZO TV panels, available in 50-inch, 58-inch, 65-inch and 68-inch specs, and part of its capacity may be also arranged for producing 32-inch and 39-inch LCD monitor panels, depending on customer demand. He disclosed that the plant is also likely to roll out panels sized 98-inch or over 100-inch by the end of 2018.

As China's first fully homegrown 8.6G metal oxide LCD panel line, the plant features 15-20 smart manufacturing systems that can provide real-time data analysis concerning equipment usage conditions to help boost production efficiency and yield rates, Lee stressed.

Lee revealed that in its first-phase development, the plant's monthly production capacity will reach 60,000 pieces in September 2018, and the second-stage development will see trial production begin in August, with a combined monthly capacity of 120,000 panels to be achieved in the first quarter of 2019 at the earliest.

At the moment, Chengdu CEC Panda Display Technology is teaming up with Sichuan University of Electronic Science and Technology to set up a display technology exchange platform. The platform will allow major panel makers to exchange and share information on the latest tech innovations, which can then be incorporated into commercial production - a joint effort that can upgrade the development and competitiveness of China's LCD panel industry, according to Lee.

 

Intel Investing in Israeli Plant

Kiryat Gat fab to see $5 billion investment over two years to go from 22 to 10nm

Intel Corporation plans to invest $5 billion over the next two years to upgrade its fab in Kiryat Gat in Israel from 22nm to 10nm technology.

There was no official announcement from Intel, but Israel’s ministry of finance said in a statement that approval is expected from Israel’s government bodies in weeks and that the new plant will employ an additional 250 people. Intel had apparently considered several possible expansion sites but, after two years of discussion with Israel’s finance ministry, decided to expand its site in the country.

Intel has been a major employer in Israel, starting with five employees in Haifa in 1974, and has invested about $11 billion since then. Now the company employs 10,000 people in the country directly, with 60% of employees in research and development.

At its recent venture capital arm’s global summit in California, Intel Capital’s Yair Shoham was reported to be considering several significant investments in Israel this year, having already invested in six companies in 2018. It is thought to have invested some $375 million in about 80 Israeli startups since 1997 and had 28 exits, including Anobit, the flash memory controller company sold to Apple in 2012. This month, Intel Capital participated in a $10 million round led by MegaChips in Israeli Gfast chipset supplier Sckipio Technologies. [Gfast is an ultrafast broadband technology, which is anything over 100 Mbps].

 

Infineon Invests in New 300mm Capabilities

Aiming to address growing demand for power semiconductors, Infineon Technologies AG announced an investment of around €1.6 billion (about $1.88 billion) over six years on a new 645,600 sq. ft. (60,000-square-meter) fab.

The fully automated 300-mm fab will be located in Villach, Austria, alongside Infineon's existing production facility. It is expected to begin production in 2021. 

Reinhard Ploss, Infineon's CEO, said through a statement that global megatrends such as climate change, demographic change and increasing digitization are driving an increase in demand for power semiconductors. "Electric vehicles, connected and battery-powered devices, data centers or power generation from renewable sources require efficient and reliable power semiconductors," Ploss said.

Villach is Infineon's competence center for power semiconductors. Manufacturing of power semiconductors on 300-millimeter wafers was developed in Villach and then expanded into another fab in Dresden, Infineon's main location for Infineon's front-end wafer processing.

Infineon said the new Villach fab would create about 400 new jobs and begin construction in the first half of next year.

Infineon is the world's largest provider of power semiconductors with global market share of about 18.5 percent, according to market research firm IHS Markit.

 

HLJ to Build 6-Inch Epi Fab

Taiwan-based VCSEL application solutions provider HLJ Technology has decided to invest NT$2.7 billion (US$90.08 million) to build a 6-inc epi wafer fab at its plant complex in northern Taiwan to better cash in on huge VCSEL application market potentials by integrating relevant epi chip design, fabrication, packaging and testing operations, according to company sources.

The company will issue 16 million new shares at a unit price of NT$90 to raise NT$1.44 billion in funds to support the first-stage land lot and equipment procurements, with the new plant slated to start volume production in late first half of 2019, the sources said.

In 2017, HLJ successfully tapped into the supply chain of AirPods as the sole supplier of VCSEL components needed for Apple's wireless earphones, through the assistance of Austria-based sensor maker AMS, now one of its four major shareholders and a supply partner for iPhone X 3D sensors, industry sources said.

At the moment, HLJ mainly relies on its 4-inch fab to process low-power VCSEL devices for AirPods, and its planned 6-inch fab will focus on production of high-power VCSEL components needed in a wide variety of applications including notebooks, robots, AI, big data, AR/VR, car-use LiDAR and ADAS, HDMI and security surveillance, the industry sources said.

HLJ also sees great market potential for VCSEL devices applied to the 3D sensors for smartphones and mobile devices, as the 3D sensor market sales are estimated to skyrocket from US$1.5 billion in 2017 to US$14 billion in 2020 for a CAGR of up to 209%. By leveraging the advantage of integrated operations at its new plant, the company believes that it can benefit more than competitors from the market growth.

 

Toshiba Memory to Build New Fab

Toshiba Memory (TMC) announced recently it had decided to start constructing a new fab for BiCS FLASH, the company's proprietary 3D flash memory, in Kitakami (Iwate prefecture, Japan) in July 2018.

TMC selected Kitakami City as the next location to expand its operations in September 2017, and has started preparations for construction of the new fab. Demand for 3D flash memory has been rising on robust demand for enterprise SSDs for datacenters and servers.

The new fab will be completed in 2019, according to the company. It will introduce an advanced production system that uses artificial intelligence (AI) to boost productivity. Decisions on the new fab's equipment investment, production capacity and production plan will reflect market trends. TMC expects to continue its joint venture investments based on discussion with Western Digital in the new facility.

In addition, TMC disclosed that its wholly-owned subsidiary Toshiba Memory Iwate, which was established to manage the start-up and operation of the facility, plans to recruit 370 new graduates during its fiscal 2018.

 

II-VI Incorporated Opens New Facility for Epitaxial Wafer Manufacturing

II‐VI Incorporated, a leading provider of compound semiconductor epitaxial wafers, today announced the opening of its new high tech compound semiconductor material center of excellence in Champaign, Illinois.

This represents a significant milestone in II-VI’s ongoing investment in its manufacturing footprint to ramp up production capacity of high performance compound semiconductor epitaxial wafers. These products serve growing markets and are at the core of 3D sensing, optical networking, wireless communications and power electronics. Since the groundbreaking for this expansion, in November 2016, II-VI continues to actively recruit managers, engineers and technicians to join its experienced team.

 “On behalf of II-VI, I would like to express my thanks to the Illinois Department of Commerce & Economic Opportunity for its ongoing support and unwavering commitment to our success,” said Quesnell Hartmann, General Manager and co-founder of II-VI EpiWorks. “The completion of this phase in our expansion provides us with the critical infrastructure to scale our production, advance our technology, and enable us to serve the rapidly growing demand from our global customer base.”

“II-VI and the State of Illinois have formed a strong partnership, sharing a vision that businesses with leading edge technology and advanced manufacturing capabilities are the most competitive over the long-term,” said Dr. Chuck Mattera, President and CEO, II-VI Incorporated. “With support from Illinois, we expect to continue to invest in this Champaign facility, by adding state-of-the-art manufacturing capacity, improving process capabilities, broadening the product portfolio and recruiting and developing a lot of talent to fill the anticipated growth in jobs and opportunities for career growth.”   

II-VI develops and manufactures compound semiconductor epitaxial wafers that enable devices to achieve high bandwidth, power efficiency and reliability.  II-VI’s global compound semiconductor production capabilities include multi-purposed 6 inch wafer fabs with both epitaxy and device fabrication capabilities for GaAs, SiC and InP compound semiconductors.

About II-VI Incorporated:

II-VI Incorporated, a global leader in engineered materials and optoelectronic components, is a vertically integrated manufacturing company that develops innovative products for diversified applications in the industrial, optical communications, military, life sciences, semiconductor equipment, and consumer markets. Headquartered in Saxonburg, Pennsylvania, the Company has research and development, manufacturing, sales, service, and distribution facilities worldwide. The Company produces a wide variety of application-specific photonic and electronic materials and components, and deploys them in various forms, including integrated with advanced software to enable our customers. For more information, please visit us at www.ii-vi.com.

 

ON Semiconductor in Expansion Mode

ON celebrated the grand opening of the ON Semiconductor Assembly and Test facility with a ribbon-cutting on Wednesday May 9, 2018.

ON semiconductor has expanded its manufacturing facility in Rochester, New York (US). Headquartered in Arizona, ON is a global supplier of high performance, energy efficient silicon solutions for green electronics.

The New York site develops and manufactures image sensor devices for commercial, industrial and professional imaging applications, including machine vision, surveillance, traffic monitoring, medical and scientific imaging and photography.

Located on a 4.2-acre site with over 260,000 sq. ft. of building space, the expanded facility supports all four disciplines of the semiconductor business: wafer fab, wafer probe, assembly, and test and packaging operations for specialized high-performance CCD and CMOS image sensors.

“Not only is the screen on your smart phone or TV likely inspected with image sensors manufactured at the Lake Avenue site, but image sensors manufactured at this facility are also on the surface of Mars, orbiting Jupiter and the Moon, and used in commercial satellites that monitor the Earth’s surface,” said Michael Miller, general manager and director of operations at ON Semiconductor.

Miller said this expansion would have not been possible without the support and grant from Empire State Development and their willingness to partner with ON. “We owe them a debt of gratitude, thank you Governor Cuomo,” he said.

“Manufacturing is a core competency for ON Semiconductor and the majority of ON Semiconductor’s manufacturing operations are done internally through the company’s industry leading cost structure,” said Bill Schromm, executive vice president and chief operating officer. “This expansion is important to our company as it significantly increases our assembly capacity at the ON Semiconductor Rochester location.”

The expansion is due in part to partnerships with local and state officials, including the Mayor and County Executive, Governor’s office and state officials, as well as members of Congress. All recognizing the opportunity to grow the local economy and leverage the unique advantages that Rochester can bring.

ON Semiconductor is focused on energy efficient innovations in an effort to reduce global energy use. The company offers a comprehensive portfolio of over 80,000 energy efficient power management, analogue, sensors, logic, timing, connectivity, discrete, SoC and custom devices utilized in, computing, consumer, industrial, medical and military/aerospace applications.

The expansion plan follows on from the recent acquisition of SensL Technologies Ltd. Based in Ireland, SensL specializing in Silicon Photomultipliers (SiPM), Single Photon Avalanche Diode (SPAD) and LiDAR sensing products for automotive, medical, industrial and consumer markets.

This acquisition positions ON Semiconductor to extend its market leadership in automotive sensing applications for ADAS and autonomous driving with expanded capabilities in imaging, radar and LiDAR.

By combining this acquisition in Ireland with previously acquired radar technology and design centers in Israel and United Kingdom, ON Semiconductor is now positioned to provide a comprehensive set of sensor solutions for next generation highly autonomous vehicles and to solidify its position as a leader in image sensing and ultrasonic park assistance.

ON Semiconductor has also announced that in the second half of 2018 it plans to introduce samples to the market which incorporate technology from the radar assets acquired in 2017.

The company employs 300,000 staff and operates manufacturing sites in Belgium, Canada, China, Czechia, Japan, Korea, Malaysia, Philippines, United States and Vietnam

ON Semiconductor Corporation (NASDAQ: ON), driving energy efficient innovations, is excited to announce the expansion of their manufacturing facility in Rochester, New York. The site develops and manufactures image sensor devices for commercial, industrial and professional imaging applications, including machine vision, surveillance, traffic monitoring, medical and scientific imaging, and photography.

 

Infineon to Build New Chip Plant in Austria

Infineon Technologies AG IFNNY is set to build a new chip production house at Villach, Austria. The investment worth €1.6 billion is spread over a time period of six years.

The construction is likely to commence around the first half of 2019. The company anticipates beginning production of 300-millimeter (mm) wafer from 2021 and the headcount is projected to increase by approximately 400.

Presently, Infineon produces the 300-mm chips at its Dresden location.

Infineon anticipates the new factory to increase revenue churn by €1.8 billion a year, considering full capacity utilization. Currently, the company anticipates production capacities "to be fully utilized by 2021."

 

Abu Dhabi Leaders Visit Fab 8 Facility

Semiconductor manufacturer GlobalFoundries opened its doors to Fab8 to host a delegation of leaders from the United Arab Emirates, where the company is rooted.

GlobalFoundries calls its Saratoga County facility Fab 8. The company is owned by Mubadala Investment Company, of which the government of Abu Dhabi in the United Arab Emirates is the sole shareholder.

GlobalFoundries is just a portion of Mubadala’s investments in the U.S.

Mubadala began working with New York State in 2009 when GlobalFoundries was launched as a joint venture with semiconductor company AMD.

Since the opening of Fab 8 in Malta, GlobalFoundries has acquired IBM’s chip manufacturing facilities in East Fishkill in Westchester County and Essex Junction, Vermont.

Al Mubarak says no other country has been “more critical” to the development of UAE than the U.S. He highlighted trade data between the two countries in 2017.

“The United States and UAE conducted $24.3 billion in total bilateral trade. $20 billion of it was U.S. exports. Hopefully the White House will hear that too,” said Al Mubarak.

Al Mubarak is set to visit with officials in Washington soon.

UAE Ambassador to the United States Yousef Al Otaiba acknowledged some people may be surprised that a small Middle Eastern country would be driving innovation in advanced technology.

“And unfortunately the headlines usually associated with our part of the world is negative: it’s about conflict, it’s about destruction, and it’s about violence. But in the UAE, we’re trying to build a different, more positive and hopeful future,” said Al Otaiba.

The ambassador touched on a common theme during the event: partnership.

“We know that a key part of our innovative vision for the future will be working with partners like the United States,” said Al Otaiba.

Dr. Tom Caulfield, who previously served as general manager of the Fab 8 facility, was recently promoted to CEO of GlobalFoundries.

“I think Fab 8 is a particular good example of partnership. It’s here today because of great vision in the State of New York to create a technology center on semiconductors, CNSE, decades ago,” said Caulfield. “And through independent administrations it always never lost its true north: that investments in technology have big paybacks.”

GlobalFoundries says the state’s investment has led to the creation of more than 3,000 jobs and $12 billion in capital expenditures. Of that, New York State has invested more than $1 billion.

Among the invited guests were local lawmakers, state and municipal government officials, and state and local educational leaders.

Democratic New York Congressman Paul Tonko said when he speaks about GlobalFoundries and the Capital Region on the House floor, he is the envy of the chamber.

“We talk about this tremendous growth, the chip manufacturing that is record-setting in its numbers and its statistics, that is something to really feel proud about,” said Tonko.

GlobalFoundries is a leading manufacturer of what’s known as 14 nanometer technology to power high-tech devices. Fab 8 will soon begin manufacturing next-generation 7 nanometer technology, says new general manager Ron Sampson.

“We’re yielding the technology already, so we’re getting it ready for manufacturing right now,” said Sampson. “Our intent is to have it manufacturing with our first products going into manufacturing later this year.”

 

EVG Expands

EV Group (EVG) has announced that it has started construction work for the next expansion phase of its corporate headquarters in St. Florian, Austria. The new, state-of-the-art building will house EVG's Manufacturing III facility, which will more than double the floor space for the final assembly of EVG's systems supporting semiconductor wafer bonding and lithography equipment requirements for a global manufacturing base.

"With our innovative manufacturing solutions for the high-tech industry as well as new biomedical applications, we operate in very dynamic markets with great future prospects," stated Dr. Werner Thallner, executive operations and financial director at EV Group. "In light of the high capacity utilization in all areas of our existing facilities, as well as the positive market outlook, we decided to implement our plans for building our Manufacturing III facility this year. This will support our long-term growth targets at our corporate headquarters."

EVG's new Manufacturing III building, adjacent to its new test room site that was opened a few months ago, will be built next to the River Inn. The ultramodern building will provide approximately 51,648 sq. ft. (4,800 square meters) of additional space in total, which will benefit not only manufacturing but also other departments. In addition to an expansion of warehouse space, a new delivery area with a dedicated packaging site designed for cleanroom equipment will be created, along with an airfreight security zone and new truck loading docks for the shipment of the completed systems to EVG's worldwide customers.

Construction of the new Manufacturing III building is set to be completed in early 2019.

 

TSMC Ready for EUV on 7, 5nm

TSMC roadmap reveals 7 and 5nm with EUV, projecting 12 million wafers produced in 2018

Continuing to move fast in multiple directions at once, TSMC announced that it is in volume production with a 7-nm process and will have a version using extreme ultraviolet (EUV) lithography ramping early next year. In addition, it gave its first timeline for a 5-nm node and announced a half-dozen new packaging options.

Meanwhile, the foundry is pushing power consumption and leakage down on more mainstream 22-/12-nm nodes, advancing a laundry list of specialty processes and rolling out an alphabet soup of embedded memories. At the same time, it is exploring future transistor structures and materials.

Overall, the Taiwanese giant expects to make 12 million wafers this year with R&D and capex spending both on the rise. It has even started production of 16-nm FinFET chips in Nanjing, a big first for China.

The only bad news is that the advantages with the new process nodes are getting thinner. The new normal for performance gains and power reductions generally fall in a 10% to 20% range, a reality that makes the new packaging and specialty processes increasingly important.

TSMC is in volume production of 7-nm chips today with more than 50 tapeouts expected this year. It’s making CPUs, GPUs, AI accelerators, cryptocurrency mining ASICs, networking, gaming, 5G, and automotive chips.

The node delivers 35% more speed or uses 65% less power and sports a 3x gain in routed gate density. By contrast, the N7+ node with EUV will only deliver 20% more density, 10% less power, and apparently no speed gains — and those advances require use of new standard cells.

TSMC has validated in silicon what it calls foundation IP for N7+. However, several key blocks will not be ready until late this year or early next year, including 28–112G serdes, embedded FPGAs, HBM2, and DDR5 interfaces.

Expect 10% to 20% more effort laying out IP for the EUV process, said Cliff Hou, vice president of R&D for design and technology platforms. “We developed a utility to migrate IP with incremental effort.”

Fully certified EDA flows for N7+ will be ready by August. Meanwhile, yields of a test 256-Mbit SRAM at N7+ are as good as yields were for the early 7-nm node, he said.

Looking ahead, TSMC aims to start risk production of a 5-nm node in the first half of 2019, focusing on mobile and high-performance computing chips.

Compared to the initial 7 nm without EUV, the 5-nm node promises a 1.8x greater density than 7 nm. However, it is only expected to reduce power by up to 20% or raise speeds by about 15%, perhaps 25% using Extremely Low Threshold Voltage (ELTV), details of which TSMC has not yet provided.

“Without EUV, they can’t deliver the same scaling advantage as past nodes,” said Mike Demler, an analyst with the Linley Group. “If you look at N7+, they claim an additional 20% scaling over N7. So EUV is required to get closer to traditional Moore’s Law scaling. Their N5–N7 scaling just gets worse.”

 

Taiwan Fab hits 250-W Goal with EUV

TSMC clearly has a bead on high-volume manufacturing for EUV early next year. It got systems to sustain production at 250 W for a couple of weeks in April and aims to hit 300 W next year, a power level needed for volume throughput.

With average daily power levels at 145 W, the foundry has a ways to go, but “throughput is on track to meet production needs,” said Y.J. Mii, vice president of R&D for technology development.

Besides “significant progress on power and throughput,” Mii reported that resist dosage is declining toward the foundry’s 1Q19 production goal, though it’s still about a third too high. The protective pellicle transmits 83% of EUV light and should hit 90% next year.

EUV continues to provide much better uniformity of critical dimensions than immersion steppers, said Mii, showing several examples. The foundry expects to use EUV on multiple layers in both N7+ and 5-nm nodes and is aggressively installing NXE3400 systems from ASML.

It appears that TSMC’s EUV plan is within six months of the production schedule of Samsung, which has said that it will be in production this year. The South Korean giant plans its own event later this month, where it will provide an update on its progress.

The gap is not likely enough to get large-volume customers such as Apple or Qualcomm to switch suppliers. In the long term, a lead of a few months will be insignificant, said G. Dan Hutcheson, chief executive of market watcher VLSI Research.

Meanwhile, TSMC’s 5-nm node is still embryonic with a version 0.5 EDA flow targeted for June release and a v0.5 design kit in July. Many IP blocks won’t be validated until next year, including PCIe Gen 4, DDR4, and USB 3.1 interfaces.

By the end of 2019, the foundry aims to triple to 1.1 million wafers/year its production on 10-/7-nm nodes. It’s latest fab complex 18 is under construction in Taiwan now and aims to start 5-nm production in 2020.

Now that TSMC has established its 2.5-D CoWoS package in GPUs and other processors and its wafer-level fan-out InFO in smartphone chips, it is expanding both offerings and adding others.

CoWoS chips will have options for silicon interposers up to twice a reticle’s size, apparently stitched in the field, starting early next year. Versions with 130-micron bump pitch will be qualified this year.

The InFO technique is getting four cousins. Info-MS, for memory substrate, packs an SoC and HBM on a 1x reticle substrate with a 2 x 2-micron redistribution layer and will be qualified in September.

InFO-oS has a backside RDL pitch better matched to DRAM and is ready now. A multi-stacking option called MUST put one or two chips on top of another larger one linked through an interposer at the base of the stack.

Finally, InFO-AIP stands for antenna-in-package, sporting a 10% smaller form factor and 40% higher gain. It targets designs such as front-end modules for 5G basebands.

“InFO is an important platform,” said Jan Vardaman, a veteran packaging analyst and president of TechSearch International.

“TSMC’s InFO for baseband/modem package in a PoP with memory is very impressive — lower profile, smaller form factor, and better performance. InFO on Substrate is going to be popular because it’s 2-micron lines and spaces will cover a lot of applications.”

But that’s not all. TSMC introduced two wholly new packaging options.

A wafer-on-wafer pack (WoW) directly bonds up to three dice. It was released last week, but users need to ensure that their EDA flows support the bonding technique. It will get EMI support in June.

Finally, the foundry roughly described something that it called system-on-integrated-chips (SoICs) using less than 10-micron interconnects to link two dice, but details are still sketchy for the technique to be released sometime next year. It targets apps from mobile to high-performance computing and can connect dice made in different nodes, suggesting it may be a form of system-in-package.

“It used to be ASE leading the way in packaging, but now I’d say TSMC is,” said one analyst.

The motivations are clear. As the advantages of CMOS scaling diminish, packaging helps deliver performance, in part through faster memory access. In the last few years, TSMC, which runs three back-end production lines, won significant business with Apple in part due to InFO and Xilinx and Nvidia in part with CoWoS.

The new packages “look like the long-promised alternative to the end of Moore’s Law, but pretty expensive and still with lots of issues,” said Demler of Linley Group.

Only about a third of TSMC’s business is at advanced nodes such as 28 nm and beyond. Thus, the foundry discussed advances in a laundry list of specialty processes as well as advances a step or two back from the bleeding edge.

For example, it is developing ultra-low-power and ultra-low-leakage versions of its 22-nm planar and 12-nm FinFET nodes. They will compete with FD-SOI processes ramping at Globalfoundries and Samsung.

The new 22-nm versions use 28-nm design rules and deliver a 10% optical shrink and speed gain or can reduce power 20%. The process and related IP will be ready by the end of the year with target apps including advanced microcontrollers, IoT, and 5G millimeter-wave chips.

The 12-nm versions use FinFETs and more compact cell libraries to deliver about 16% more speed than TSMC’s 16FFC. High-speed serdes are among the few pieces of IP that won’t be ready until next year.

In memories, a 40-nm resistive RAM is now ready as an alternative to flash for IoT chips. It adds just two mask layers and sports 10 years of retention and support for 10,000 cycles.

A 22-nm embedded MRAM will debut this year that’s faster and has longer retention than flash. It targets automotive, mobile, and high-performance designs with good yields on test chips so far.

Separately, TSMC is delivering smaller form factors for MEMS. It expects GaN-on-silicon with 10-V and 650-V driver integration in the fall and 100-V D-HEMT qualified next year for cellular power amps.

In addition, the foundry has qualified EDA flows and IP for its 16FFC process for automotive. It plans to have a 7-nm automotive process ready by the end of the year, though full certification will take until 2Q19.

As icing on the cake, TSMC announced a milestone in China, sketched out its long-term research, and gave an update on its use of machine learning for process automation.

The foundry is now producing 16-nm FinFET chips in its new Nanjing fab several months earlier than planned. The first phase in place includes a spaceship-like cafeteria, tubular office building, and 20,000-wafers/month fab that rivals Apple’s new headquarters in flashy design, though not scale. A second phase eventually will double production.

Meanwhile, TSMC researchers are progressing on designs of stacked nanowires as nanosheets for a next-generation transistor suitable for work at 2 nm and beyond. The design sports better electrostatics than FinFETs and can be optimized for power and performance by adjusting device width.

The foundry sees germanium as a strong candidate to replace silicon, providing lower power at the same speed. It achieved a record-low contact resistance using the material in a CMOS-compatible dielectric.

TSMC is exploring a variety of 2D back-end materials including molybdenum disulfide for their atomically smooth surfaces. They also are examining novel ways to enlarge copper grains to reduce resistance in interconnects. In addition, they are working on a selective dielectric-on-dielectric deposition process to enable self-aligning of copper vias.

In memories, they are exploring embedded MRAM for use beyond the 22-nm node, possibly with alternative magnetic structures. For embedded ReRAM beyond 40 nm, high-density crossbars are seen as an energy-efficient approach, especially for AI accelerators.

In terms of automation, TSMC is embracing machine learning to systematically analyze its wealth of wafer-processing data. It already tunes process recipes for specific tools and products. It also tracks and classifies process variations in an effort to automate the discovery of whether a problem is in a tool, process, or material.

The company has a library of more than 50,000 process recipes and tens of millions of control charts. Just how TSMC is applying machine learning to its automation tasks and with what products was unclear — no doubt, still something of a work in progress, perhaps with some secret sauce.

McIlvaine Company

Northfield, IL 60093-2743

Tel:  847-784-0012; Fax:  847-784-0061

E-mail:  editor@mcilvainecompany.com

Web site:  www.mcilvainecompany.com