SEMICONDUCTOR INDUSTRY

UPDATE

 

May 2012

 

McIlvaine Company

www.mcilvainecompany.com

 

TABLE OF CONTENTS

 

ZMDI Establishing Centre in Limerick

Cypress Plans to Invest in Centre

Chinese Hanking Group Builds MEMS Wafer Fab

NXP Opens Singapore R&D Center

Vietnam Universities Team Up on Chip Design

India Gets First Wafer Fab Facility in 2 Years

EoPlex Builds Packaging Facility in Malaysia

Toshiba Builds Semiconductor Fab in Thailand to Replace Flooded Fab

Cypress Semiconductor Transfers Packaging Lines to China

Advanced Semiconductor Packaging Start-Up Deca Could Take Over SPWR Fab

ChipMOS Expands Semiconductor Assembly and Test Services in Taiwan

Abu Dhabi and Saxony to Host Twin Semiconductor Research Labs

Asahi Kasei Microdevices Consolidates Manufacturing Facilities

Entegris Builds Center for Semiconductor Fab

Samsung Plans Fab in China

 

 

 

ZMDI Establishing Centre in Limerick

Some 35 jobs are being created by ZMDI producing semiconductors.

 

ZMDI, a semiconductor company focused on energy efficiency, is establishing a research and development design centre in Limerick, Ireland.

 

The company will take on highly skilled engineering graduates and technicians over the next five years as it meets demand for efficiency in data centre cooling, telecommunications and high-end computing.

 

Cypress Plans to Invest in Centre

California-based Cypress Semiconductor Corporation is planning to invest around $2 million to expand its design centre in Hyderabad, India. 

 

Paul D Keswick, vice-president (new product development and design services) of Cypress, said: “The expansion includes the addition of a silicon validation lab and equipment and engineering talent to support these new functions.” 

 

He said that the design centre in Hyderabad, which has primarily designed network search engines (NSEs) over the past year, will now broaden its focus to include next-generation

NSEs — 90nm-scale logic devices and systems engineering capabilities.

 

Cypress currently has 15 design centers across the US, Ireland, Turkey and India. Apart from the design centre in Hyderabad, the company has another design centre in Bangalore. The Bangalore centre specializes in USB chips, SRAMs, framers and clocks. 

 

“We are also planning to increase the headcount to around 50 from the present 17 by 2005, and to 200 by 2007,” Keswick said. 

 

“NSEs used in high speed networks is a major growth area for the company and we intend to build on this. The design centre expansion also gives us the opportunity to leverage on the local design talent to support other growing areas of the company,” Keswick said. 

 

In addition to the design facilities, Cypress operates two chip fabrication facilities in the US and the Philippines. 

 

Keswick said that the company at the moment was not considering the expansion of the existing FAB facility. “If we would go in for an expansion, it would be decided only during the second half of next year,” he said. 

 

Chinese Hanking Group Builds MEMS Wafer Fab

A mining and metal processing conglomerate in Northeast China has begun building what could become a major wafer fab for manufacturing microelectromechanical systems (MEMS). The company, known as Hanking Group, is looking to make inertial sensors and silicon membrane microphones, which are all in high demand in China.

 

However, the company has also talked about looking into tire pressure monitor sensors and microfluidic MEMS for medical applications. China currently imports almost all the MEMS devices used in the high volumes of electronic equipment made in the country. The company should be able to find ready buyers at Chinese system makers for the components which would be lower cost than imported MEMS due to an absence of duties and lower labor costs.

 

The group has created a wholly-owned subsidiary, Hanking Electronics Co. Ltd, as the means to achieve its goal and recruited MEMS industry veteran Doug Sparks as executive vice president to help execute the plan. Sparks reports to Lucy Huang, president and CEO.

 

Construction of the wafer fab started in March 2012 in Fushun about 40 miles east of Shenyang. The buildings will sit in the Hanking MEMS Industrial Park (HMIP), which occupies about 647,497 square meters (6,967,068 sq. ft.) in Fushun Economic Development Zone and for which the roads were laid out during the 2011 construction season, Sparks said.

 

Hanking has committed to spend about $475 million (3 billion yuan) on three phases of development there. Although Sparks is looking to install 200mm equipment initially, the building is being made "compatible with 300mm wafer production," he said. The transition to 300mm wafer processing for MEMS, something not yet done by any manufacturers in the MEMS sector, would come in a few years, Sparks predicted.

 

The first phase of the MEMS wafer fab will be capable of producing about 4,000 200mm wafer starts per month in 2014, Sparks said. "We'll have the back-end processing—things like electroplating and bulk etch going by 2013—it may be another year before we have everything running," he said.

 

But Sparks is not too concerned about delays. Hanking is into MEMS for the long haul and has money to spend, he said. China Hanking Holdings Ltd, one of the affiliates of the Hanking Group, was admitted to the Hong Kong stock exchange in September 2011 and declared a net profit of about $106 million (670.6 million yuan) on sales revenue of about $230 million (1.45 billion yuan) for 2011. However, Sparks said total group annual revenue is about $550 million.

 

Ultimately Hanking wants to be a MEMS IDM making components under its own badge, although it may then run a mix of 70 percent own-brand and 30 percent foundry wafers, Sparks said. However, before it can do that it will likely need to start by offering foundry services to established MEMS manufacturers.

 

Sparks said that he has been looking at partnerships with MEMS IDMs and foundries. A contract to be a China-based manufacturer on behalf of a MEMS maker would let Hanking install a "copy-exact" equipment line and begin to learn its MEMS skills while making product for someone else. "We've been talking to a couple of potential strategic partners," said Sparks but he declined to reveal names.

 

Sparks said that he was also talking to MEMS foundry Memscap SA about bringing the multiuser MEMS processes (MUMPS) system to China. MUMPS is a system of standardized process modules that enables the sharing of wafer area by multiple customers, similar to multi-project wafer (MPW) runs in CMOS.

 

NXP Opens Singapore R&D Center

NXP Semiconductors N.V. has opened a research and development center in Singapore. According to the company the new R&D facility will initially focus on select research areas including advanced applications, mixed-signal design and process technology. The long-term plan for the center is to explore opportunities of extending the scope of research areas to eventually become an NXP-wide R&D center.

 

Some projects in the line for NXP's R&D facility include collaborating with the Agency for Science, Technology and Research (A*STAR) to develop Car-to-X detection in order to increase safe driving, and collaborating with research institutes and universities to develop smart environment sensors for buildings to improve comfort control and enhance energy and cost savings.

 

"As Singapore is an important regional hub, and the headquarters for our APAC operations, it was a strategic decision for us to extend our geographical R&D base here," said Hans Rijns, senior vice president and manager, NXP Research. "We plan to recruit the finest R&D talent in Singapore and are excited to closely collaborate with the leading local research and tertiary institutions to significantly accelerate specific research topics that will benefit the local and regional markets in the future," Rijns added.

 

NXP plans to invest more than $15.90 million (S$20 million) in the R&D facility and aims to have a headcount of up to 50 employees.

 

"We are pleased that NXP, one of the world's largest semiconductor companies, will establish in Singapore another corporate research center outside Europe. This significant addition to our semiconductor ecosystem will create good job opportunities for those looking to push the frontiers of technology," Yeoh Keat Chuan, assistant managing director, Singapore Economic Development Board.

 

Vietnam Universities Team Up on Chip Design

An agreement has been recently signed between the IC Design Research and Education Center (ICDREC) and nine other universities and institutions with the aim of setting up IC design centers and training engineers in Vietnam. ICDREC, which belongs to the Vietnam National University-Ho Chi Minh City (NUH), will coordinate with the Sai Gon Industry Corp. to provide funding and training in IC design to these universities.

 

The universities include the University of Technologies, the University of Natural Sciences, the International University and the University of Information Technology under the NUH and the Institute of Postal and Telecommunication Technology in Ho Chi Minh City.

 

The agreement is part of a project titled "Design and Create Chips, Cards, RFID (Readers and Build Application System) and Build Application Systems" valued at about $7 million by ICDREC and the Sai Gon Industry.

 

The project, funded by the government, was unveiled by the NUH in November last year after getting approval from the Ministry of Science and Technology. It will seek to design and experimentally produce 32bit microprocessor chips with low capacity and high competitiveness besides related IP cores to later produce RFID HF and UH chips, RFID readers and some other systems requiring RFID cards.

 

Once completed, the experimental products will be sold by ICDREC and the Sai Gon Industry who have pumped $1 million into the project.

 

The four-year project is in line with Ho Chi Minh City's policies to boost the chip industry in the city, ICDREC director Ngo Duc Hoang indicated.

 

India Gets First Wafer Fab Facility in 2 Years

The Union Government is going to set up a committee which will identify and assess the potential investors for semiconductor wafer fabrication and recommend the right investor, who had proposed for establishing semiconductor wafer fabrication facilities in India.

 

Dr Ajay Kumar, Joint Secretary in Department of IT and Communication (Government of India) said, “Recommendations of the Empowered Committee, which is studying the proposals from top global firms, will be available in five months. We will have the first wafer fab facility ready in two years. This will spur the eco system.”

 

Dr Kumar had addressed a CII workshop on new policy initiatives and investment opportunities in electronics hardware industry. Electronics goods in India have seen a growth in its demand in FY 2012.

 

It stood at $69.6 billion, with less than half of this sourced locally. The demand is pegged at $400 billion and local production at $100 billion by 2020. The goods and components to be imported are worth $300 billion.

 

The industry is expected to generate 2.78 crore jobs by 2022 from 80 lakh in 2011. Telecom sector alone would employ 48 lakh jobs (10 lakh). Exports would go up to $80 billion from $8 billion during this period.

 

The centre will also set up a registry on imported electronics. “Currently, 20-30 per cent of the total imported electronics goods are spurious and damaged. So, we have proposed to set up the registry to check and keep track of the spurious imported products,” said Dr. Kumar.

 

EoPlex Builds Packaging Facility in Malaysia

EoPlex Limited, a subsidiary of ASTI Holdings Limited, Singapore, will open a new factory for its xLC semiconductor package technology in Q2 2012, in Malaysia.

 

ASTI’s Semiconductor Manufacturing Services Group will operate the packaging facility in Penang for EoPlex. The Group provides tape & reel packaging and IC programming services globally, and operates 8 factories in 5 countries.

 

The EoPlex xLC packaging technology provides lower-cost, higher-lead-count, smaller-form-factor leadframe semiconductor packages, according to the company. It enables multi-row, complex routed designs and systems-in-package (SiP) with reduced capacitive and inductive parasitics. With EoPlex xLC, complete packages can have from 2 to 500+ leads and still be less than 300um thick. The packages suit mobile electronics such as tablets, cell phones, GPS units, and more. Dato' Michael Loh, Executive Chairman of ASTI called leadframe packaging “a multi-billion dollar market,” and added that mobility is driving semiconductor packaging innovations.

 

EoPlex will focus on new process and product development, new technology commercialization, and materials and manufacturing R&D, with ASTI’s Group ensuring volume production at low cost and high quality in Penang, said Arthur L. Chait, president of EoPlex.

 

EoPlex Limited is a subsidiary of ASTI Holdings. The company has proprietary forming processes and material formulations that allow it to produce low-cost ceramic, metal, and polymer composites with engineered microstructure. 

 

Toshiba Builds Semiconductor Fab in Thailand to Replace Flooded Fab

Toshiba Corporation will rebuild its semiconductor manufacturing operations in Thailand by relocating Toshiba Semiconductor Thailand Co., Ltd. (TST) to a new manufacturing facility. The move will position the company to meet future growth in demand and replace the factory inundated by the 2011 floods.

 

Established in 1990, TST carries out back-end processes -- assembly and packaging -- for small signal devices and photocouplers. Small signal devices control current and voltage in digital consumer products, a product segment that is expected to grow in coming years, with a primary focus on smart phones and tablets PCs. Photocouplers are used widely in industrial products, such as inverters, and are also expected to see strong demand growth.

 

The new facility will be located at the 304 Industrial Park, Prachinburi, approximately 140km northeast of Bangkok and will replace TST’s facilities at Bangkadi Industrial Park in Pathumthani, in the suburbs immediately north of Bangkok. The new site is at 15 to 20 meters above sea level and outside Thailand’s main drainage basins, with no major rivers, so it offers TST advantages in the viewpoint of business continuity planning (BCP). Construction of a 2-story building will begin in July on a lot with an area of approximately 135,000 square meters, 1.4 times the size of the Bangkadi lot.

 

Construction of the state-of-the-art factory will allow TST and Toshiba Group to respond flexibly to market demand with facilities offering greater operating efficiency and higher productivity. The plant is scheduled for completion next spring and mass production is slated to start in the second quarter (April-June) of 2013.

 

The initial investment of the facility including the cost of the construction will be largely met by flood insurance settlements and is not expected to impact on the results of Toshiba’s semiconductor business. Future investment and production expansion will be flexibly determined in light of market trends and customer needs.

 

Last year’s flooding completely inundated TST’s manufacturing facilities, resulting in a forced suspension of operations. As TST made every effort to recover operations, Toshiba responded with a BCP based on transferring operations to its production facilities in Japan and Malaysia and making use of outsourcing.

 

Toshiba Group is promoting a revitalization of its discrete device business aimed at boosting efficiency and profitability. Measures deployed to date include transitioning to larger wafers and higher output in the front-end process, and accelerating the overseas transfer of the back-end process. Construction of the new TST facility will improve cost competitiveness in the back-end process, strengthen the bottom line and contribute to the overall strength of the discrete business unit.

 

Cypress Semiconductor Transfers Packaging Lines to China

Cypress Semiconductor Corp. transferred 7 back-end semiconductor package assembly lines from its Philippines facility to Chinese packaging subcontractor Jiangsu Changjiang Electronics Technology Co.

 

The production will now take place at JCET's C3 factory in Jiangyin City, China. The lines were qualified by Cypress' automotive end-customers. The conventional package assembly line transfer was successful from Cypress' standpoint and that of its automotive customers, said Shahin Sharifzadeh, EVP, WW Wafer Fabs and Technology and President, China Operations of Cypress.

 

Cypress delivers high-performance, mixed-signal, programmable semiconductors.

 

JCET (Jiangsu Changjiang Electronics Technology Co., Ltd.) is a Chinese packaging subcontractor, providing full turnkey packaging assembly and test services with a package portfolio of BGA, flip chip, wafer-level, leadframe IC and discrete packages.

 

Advanced Semiconductor Packaging Start-Up Deca Could Take Over SPWR Fab

Highly secretive wafer level chip scale packaging (WLCSP) start-up Deca Technologies might take over SunPower Corp. Fab 1, when the solar photovoltaics (PV) supplier consolidates its Philippine manufacturing operations to Fab 2 this quarter.

 

SunPower invested an undisclosed amount of capital in Deca when the packaging house launched, and is a minority shareholder. It gave Deca half of its fab space in Laguna Technopark, Philippines to use, as well as human resources, and process/operational know-how. Deca is also funded by Cypress Semiconductor.

 

Sunpower has 2 lines running on its new process for Maxeon Gen E cells, and expects to have all 12 lines at Fab 2 converted by the end of 2012, said Tom Werner, SunPower president and CEO. He added that repurposing Fab 1 enables SPWR to rationalize operating expenses, improve supply chain efficiency, and lower manufacturing cost per watt. Yields and efficiency will be improved at Fabs 2 and 3 in conjunction with the move.

 

SunPower is working with Deca Technologies and others on the use of the Fab 1 facility. Employees at Fab 1 will be transferred to Fab 2 or have the opportunity to work for potential Fab 1 tenants. SunPower will transfer some equipment from Fab 1 to Fab 2 to reduce manufacturing constraints.

 

SunPower Corp. designs, manufactures and delivers high-efficiency, high-reliability solar panels and systems.

 

ChipMOS Expands Semiconductor Assembly and Test Services in Taiwan

Semiconductor assembly and test services (SATS) provider ChipMOS TECHNOLOGIES (Bermuda) LTD.,via its majority-owned subsidiary, ChipMOS TECHNOLOGIES INC. (ChipMOS Taiwan), purchased a 393,173sq.ft. building adjacent to its existing facility in Southern Taiwan Science Park.

 

ChipMOS Taiwan won a public court bidding process for the building, at a purchase price of approximately US$10.1 million. The location -- across the street from ChipMOS Taiwan’s existing facility -- “opens up new options” for manufacturing resources and personnel deployment, said S.J. Cheng, chairman and CEO of ChipMOS.

 

ChipMOS is a leading independent provider of semiconductor testing and assembly services with advanced facilities in Hsinchu and Southern Taiwan Science Parks in Taiwan and Shanghai.

 

Abu Dhabi and Saxony to Host Twin Semiconductor Research Labs

The Advanced Technology Investment Company and the state of Saxony, Germany, announced the establishment of two research labs working in tandem on areas of interest to the semiconductor industry.

 

The Twin Labs project will be backed by the Advanced Technology Investment Company /ATIC/ and Saxony, which have each pledged US$4ر2 million towards the plan over two years. Masdar Institute of Science and Technology will host the Abu Dhabi research center, while

Technische Universitat Dresden will oversee development of the Saxony lab.

 

Both sites will be of similar size, initially staffed with 10-12 graduate/Ph.D. students. Additional faculty members will be engaged at a later stage after the centers have established initial successes. Research will focus primarily on three-dimensional chip stacking, a potentially faster and more energy efficient semiconductor technology that follows ATIC s broader emphasis on minimum electronic energy systems, or MEES.

 

"Dresden, Saxony has become the largest microelectronics hub in Europe, and we are pleased to strengthen our collaboration in driving the semiconductor industry forward," said Ibrahim Ajami, CEO of ATIC.

 

"This working partnership is particularly significant as we work to establish Abu Dhabi as a global R&D hub. The areas of research Twin Labs will be addressing are promising and timely to the industry, and I am confident the intellectual property generated will be of great value to ATIC, GLOBALFOUNDRIES and Abu Dhabi."

 

Dr. Fred Moavenzadeh, President of Masdar Institute, said: "The Twin Labs project will provide substantial benefits to Abu Dhabi in terms of human and intellectual capital development. The cooperation between Masdar Institute and TU-Dresden will produce highly educated manpower ready to directly contribute the Abu Dhabi s growing Science, Technology and Innovation /STI/ workforce. The cooperation will also provide a strategic connection between Saxony and Abu Dhabi both regions that have aligned their interests to achieve regional competitive advantage through establishment of robust semiconductor industries."

 

The landmark agreement comes on the heels of a 2010 memorandum of understanding /MOU/ signed between Abu Dhabi and Saxony to facilitate a strong working partnership. The Twin Labs concept was conceived by the R&D working group established under the MOU, jointly chaired by Sami Issa, Executive Director leading the Abu Dhabi Technology Ecosystem Unit at ATIC and Prof. Gerhard Fettweis, Professor and Vodafone Chair at TU-Dresden.

 

Gerhard Fettweis of TU Dresden said: "Microelectronics is the driving force behind a myriad of innovations pushing the economy and society, with 3D integration of chip stacks expected to be the next fundamental innovation push for microelectronics. So, Twin Labs has the chance to heavily impact the local industry and support its sustainable technology leadership in microelectronics."

 

On the Abu Dhabi side, the group "Three-Dimensional Integrated Microelectronics for Minimum Energy Design" will be led by Dr. Abe Elfadel, Professor of Microsystems Engineering at Masdar Institute. It will explore and illustrate the minimum-energy/low-power features of 3D-integrated microelectronics in a variety of computing, communication, storage and sensing design contexts.

 

On the Saxon side, the Twin Labs group led by Professor Gerhard Fettweis will be known as"ESF Young Investigators Group 3D Chip Stack Intra-connects," nicely complementing the research work being conducted at Masdar Institute. The research will focus on the interconnect aspects of 3D integration, especially the crucial role of TSV in the back-end-of-the-line structure of 3D-stacked chips. The goal is to develop modules /design blocks/ for high-rate interfaces between multiple chips in a semiconductor chip-stack.

 

Asahi Kasei Microdevices Consolidates Manufacturing Facilities

Asahi Kasei Microdevices Corp. (AKM) will consolidate its manufacturing facilities as part of a reinforcement of the operating structure of its power management semiconductor 1 business. The consolidation of manufacturing facilities will provide AKM with a solid foundation for the further strengthening and expansion of its power management semiconductor business as a core focus of operations, enabling products with higher added value and greater competitiveness.

 

AKM currently has two facilities for wafer processing of silicon semiconductors, located in Nobeoka (Miyazaki prefecture) and Tateyama (Chiba prefecture), with the latter being the company's predominant base for the manufacture of power management semiconductors. As demand for power management semiconductors continues to rise along with the growth of mobile electronics products and heightened needs for energy conservation, there are increasing customer needs for products with finer processing and greater high-voltage performance. In order to swiftly respond to such needs, AKM made the decision to concentrate its management resources for wafer processing on the facility in Nobeoka. The Nobeoka facility will thus serve as the base for maintaining competitive production as well as further advancement of production technology.

 

The products now manufactured in Tateyama will be either successively transferred to Nobeoka or phased out. Completion of this process is scheduled for autumn 2013.

 

AKM will continue to focus on the development and production of competitive semiconductor products that meet customer needs, as well as the expansion of its power management semiconductor business, while utilizing silicon foundry services 2 in addition to its own manufacturing facilities. 1 Power management semiconductors are used to control the voltage from batteries and other power supplies, providing stable voltage to the internal components of home electronics and mobile electronics products. 2 Silicon foundry services provide outsourced wafer processing performed on a consignment basis.

 

Entegris Builds Center for Semiconductor Fab

Entegris, contamination control and handling system supplier to the semiconductor and microelectronics industries, will build the Entegris i2M Center for Advanced Materials Science in Bedford, MA, near its headquarters in Billerica. i2M denotes ideas to market.

 

The i2M Center will focus on R&D and manufacturing of filtration media, electrostatic wafer clamps (E-Chucks) and proprietary advanced low-temperature coatings, meeting the needs of next-generation 2X, 1X, and single-digit semiconductor manufacturing nodes. The Entegris i2M Center will tackle “the most difficult purification, process control, and material protection challenges,” said Gideon Argov, president and CEO, Entegris. These include ion-based contamination in liquids, as well as the well-known problems with organic matter and bubbles. In gas purification, airborne molecular contamination is a problem Entegris will aggressively address, said Steven Cantor, VP of corporate relations. These begin as moisture in or around fab tools, or penetrating wafer FOUPs as they wait in fabs for the next process step. Entegris is developing new techniques to slow that down or prevent moisture ingress into the wafer environment, Cantor explained. The International Technology Roadmap for Semiconductors (ITRS) for first time includes extensive specifications for airborne molecular contamination. Entegris is working on these “red bricks” with customers and consortia, as well as research hubs.

 

Massachusetts offered the talent and infrastructure to support this aim, said Cantor. Entegris purchased land and an existing 80,000 square foot building in Bedford for the i2M Center, which will bring together Entegris’ membrane manufacturing for liquid filtration and membrane development group, along with its coatings group for electrostatic chucks. An investment of approximately $45 to $50 million will go into infrastructure upgrades and equipment over the next two years. It will host development labs, as well as extruding equipment to make advanced membranes. Phase 1 of the center will be operational as early as January 2013. Bringing related groups together for development and manufacturing should lead to a great deal of innovation, said Cantor. Approximately 100 professional and skilled employees will transfer from existing nearby sites, and an additional 10 engineering and development positions will open for personnel specializing in membrane science and E-Chucks.

 

While the center is in the US, it will support global customers, many in Asia, said Bertrand Loy, COO of Entegris. Semiconductor manufacturers are pushing the bounds of fab capabilities with smaller nodes, where defect-inducing particle-size contamination is very small. A major focus for Entegris is balancing flow rate, particle size retention, and the filter’s inertness in advanced-node fab operations.

 

Entegris develops a broad product range of filtration and handling products because semiconductor manufacturing steps -- and those steps at different fabs for different products each have specific requirements. Some membranes are better for etch, some for lithography, some for chemical mechanical polishing (CMP), Cantor noted, and different pore sizes, end cap configurations, and other controls work best for one fab’s processes or another’s. Process knowledge is key in setting up these components of a fab to optimize manufacturing.

 

Entegris provides a range of products for purifying, protecting and transporting critical materials used in processing and manufacturing for the semiconductor, microelectronics, energy, life sciences and other high-tech industries. Entegris is ISO 9001 certified and has 33 manufacturing, customer service or research facilities in the United States, China, France, Germany, Israel, Japan, Malaysia, Singapore, South Korea and Taiwan. Entegris currently employs approximately 350 workers in Massachusetts and approximately 2,700 employees globally.

 

Samsung Plans Fab in China

Samsung Electronics Co. Ltd has announced that it will spend $7 billion on a NAND flash wafer fab in Xian, a city in the northwest of China, according to reports referencing the regulatory filings as the source. The South Korean electronics firm was reported to have planned to begin production with a 20nm class manufacturing process and was intended to be in commercial production before the end of 2013.

 

Samsung is reported to have said that it will spend $2.3 billion on the first stage of construction of the plant with a total of $7 billion to be spent in the next few years. Although Samsung has wafer fabs in Austin, Texas, the Xian wafer fab would represent the company's largest overseas investment in chip production, a Bloomberg report indicated.

 

The Xian location was selected because "the production and R&D bases of global IT corporations are concentrated around Xian and the site is well-equipped with industrial infrastructure," The Chosunilbo quoted a Samsung spokesperson as saying.

 

"Xian City offers excellent infrastructure, a highly skilled workforce and is an important center for the information technology industry in China," a spokesman for Samsung said in an email exchange. "Discussions are in an early stage and any agreement will be subject to approval by Chinese government agencies."

 

Korea and China are destined to become Samsung's primary locations for NAND flash memory production.

 

 

McIlvaine Company

Northfield, IL 60093-2743

Tel:  847-784-0012; Fax:  847-784-0061

E-mail:  editor@mcilvainecompany.com

www.mcilvainecompany.com