SEMICONDUCTOR INDUSTRY

UPDATE

 

February 2012

 

McIlvaine Company

www.mcilvainecompany.com

 

TABLE OF CONTENTS

 

WACKER POLYSILICON Opens U.S. Center

Kyocera Doubles Flip-Chip Assembly with New Cleanroom

Plessey to Make HB-LEDs in UK

India Plans Wafer Fab

TI Closes Semiconductor Fabs in TX and Japan

AXT to Expand with another Plant in China

Presto Engineering Semiconductor Opens in Israel

Obama to Visit Intel’s Chandler Facility

Semiconductor Foundry Adds 200mm Reactor

Optomec Expands Aerosol Jet Lab

Air Liquide Doubles Semiconductor Fab Precursor Production

STATS ChipPAC Expands with New Singapore Facility

ON Semiconductor Receives Trusted Foundry and Broker Accreditations

 

 

 

WACKER POLYSILICON Opens U.S. Center

WACKER POLYSILICON, an international chemical company that specializes in the production of polysilicon (a key ingredient for solar power panels), opened the innovative new WACKER INSTITUTE today in Chattanooga, Tenn. The institute, which is on the Chattanooga State Community College campus, will serve as a cutting-edge tech training program for future WACKER employees.

 

"The concept of the WACKER INSTITUTE is a pioneering and innovative learning experience that both Chattanooga State and WACKER jointly feel is consistent and symbolic of the principle of investing in a sustainable future," said Dr. Ingomar Kovar, president and CEO of Wacker Chemical Corp. "While we might be hard-pressed to understand what the future may hold, it is always the present world in which we live where the future is created."

 

The WACKER INSTITUTE-a $5 million pilot plant and training facility- is part of the engineering technology division at Chattanooga State Community College.

 

"At the WACKER INSTITUTE, students will be equipped with the skills necessary for a successful career in one of the world's most exciting and important industries," said Dr. Konrad Bachhuber, vice president and site manager for WACKER POLYSILICON in Charleston, Tenn. "Our excellence in polysilicon technology is deeply rooted, based on over half a century of experience on this front. The WACKER INSTITUTE will provide to us a pipeline of highly skilled workers who will serve WACKER and our customers well for many years to come."

 

"We need a very sophisticated workforce at our plant; this is a highly technical production process," said Dr. Erika Burk, WACKER POLYSILICON's director of human resources. "We developed a partnership with Chattanooga State to form a program that will ensure our future employees are fully trained and ready to work when our plant begins operation next year."

 

WACKER POLYSILICON in Charleston, Tenn. is scheduled to begin operation by the end of 2013. The plant will employ some 650 full-time workers.

 

Kyocera Doubles Flip-Chip Assembly with New Cleanroom

Kyocera America, Inc. doubled its flip chip assembly capacity for microelectronic devices with a $3.5 million Class-10,000 cleanroom, offering lead-free processes in San Diego. Kyocera America is a Qualified Manufacturer's List (QML)-certified flip-chip assembly provider.

 

The cleanroom can fabricate fine-pitch flip-chip multiple components within one operation, and performs vacuum die-attach and vacuum-assembly sealings for flip-chip and multi-chip module (MCM) assembly. The expansion also reduces overall cycle times for all levels of manufacturing at Kyocera America.

 

Kyocera America can provide prototype to high-volume microelectronics package production in San Diego rather than sending it offshore, said Tim Roth, GM, Kyocera's Assembly Technology Division. "Along with higher volume production, Kyocera now offers lead-free flipchip assembly, ahead of federal RoHS regulations, which take effect in 2014."

 

Kyocera provides assembly services for diverse microelectronics markets, including high-speed digital communications, high-reliability applications, high-count I/O devices, high-end servers, multi-core processors and data transport infrastructure. The company recently increased its engineering staff to provide additional customer service, design and development expertise.

 

Kyocera has performed US microelectronic package manufacturing for more than 40 years; it has performed assembly services in San Diego since 1991.

 

Kyocera America Inc. provides high-reliability ceramic packages, complex modules, and contract assembly services. It is a wholly-owned subsidiary of Kyocera International, Inc., the North American holding company for Kyocera Corporation.

 

Plessey to Make HB-LEDs in UK

Historic semiconductor firm acquires GaN-on-silicon technology with buy-out of Cambridge start-up CamGaN.

 

GaN-on-silicon LED Plessey, one of the few remaining semiconductor manufacturers in the UK, is set to move into production of high-brightness LEDs based on some highly regarded technology developed at the University of Cambridge.

 

The company, which has a 6-inch wafer facility in Plymouth, has just acquired the Cambridge start-up CamGaN. The deal sees Plessey gain CamGaN’s intellectual property relating to GaN-on-silicon LED epiwafer fabrication, while three employees from the start-up will now work for Plessey.

 

Derek Rye, Plessey’s marketing manager, told optics.org that it had been working with the Cambridge team for the past 18 months, and that Sir Colin Humphreys - the Cambridge professor upon whose research the GaN-on-silicon technology is based – will continue to work as a consultant, alongside previous CEO Andrew Lynn.

 

At this stage, it is not clear exactly what Plessey will sell into the highly competitive HB-LED market – with Rye saying that processed wafers, bare die and LED components are all possibilities. What is clear is that Plessey is intending to purchase a number of metal-organic chemical vapor deposition (MOCVD) reactors to manufacture the epiwafers in significant volumes at its own fab – rather than outsourcing this part of the process to a third-party specialist.

 

Those purchases are being financed by private equity funding that Plessey has already put in place, while the company will continue to use the MOCVD facilities at Cambridge to further develop the technology in the meantime.

 

By the end of this year, Plessey is aiming to have produced GaN-on-silicon LEDs with a white-light efficacy of 150 lumens per watt – comparable with state-of-the-art performance with conventional LEDs based on sapphire or silicon carbide substrates. “It’s a challenging goal, but an achievable goal,” Rye said.

 

Plessey is by no means the first company to pursue GaN-on-silicon LEDs. China's Lattice Power is regarded as the first to have moved into commercial production with a flip-chipped version of the technology, while last month Osram Opto Semiconductors said that it had made some key breakthroughs that would enable it to start selling silicon-based LEDs within two years, following a long-standing development partnership with Azzurro Semiconductors. Osram's white emitters had a quoted efficacy of 127 lm/W and a chip conversion efficiency of nearly 60%.

 

The competition is likely to get even tougher. At the recent Photonics West conference, Alois Krost from Germany’s University of Magdeburg - and head of the research group that spawned Azzurro – applauded the Osram OS results as “very good”, while adding that industry giants Philips and Samsung were actively pursuing the silicon option through their respective Lumileds and LED subsidiaries.

 

Another major HB-LED player, this time Taiwan's Epistar, has ordered deposition equipment from Veeco to support the commercial development of GaN-on-silicon epiwafers.

 

According to Rye, the key advantage of the approach developed at Cambridge relates to the thickness of the semiconductor layers that are used to overcome the natural lattice mismatch between the silicon substrate and the active gallium nitride layers within the chip structure.

 

Osram and Azzurro have overcome the mismatch problem through the use of relatively thick buffer material, for example using multiple layers of aluminum nitride. Rye says that the Cambridge team has been able to produce high-quality structures with a much thinner layer structure – meaning that it can be deposited more quickly and at lower overall cost.

 

John Ellis, Plessey’s chief engineer, said: “To date, the biggest technological challenge preventing the commercialization of high-brightness LEDs grown on large-area silicon substrates has been the large lattice mismatch between GaN and silicon.”

 

“Plessey’s new GaN-on-silicon process has overcome this challenge and our expertise combined with the intrinsic cost savings of using automated 6-inch processing equipment will position Plessey’s HB-LED lighting products at the forefront of the industry.”

 

While some in the LED industry regard the switch to silicon substrates as a necessary evolution of the technology in order to enable the kind of low-cost, high-volume manufacturing of high-performance devices needed for general lighting applications, not everybody agrees.

 

Huge cost reductions have already been achieved through advances in volume manufacturing on sapphire and SiC substrates, and leading LED companies including Samsung, Cree, Osram OS and Philips Lumileds are already in the process of migrating their production to the 6-inch format.

 

Additionally, the emergence of several well-funded manufacturers in first Korea and then China in recent years; initially to target the market for LED-backlit LCD televisions has flooded the market with white emitters and pushed down the average selling price of the devices across the board. The past year has been a particularly tough one for western LED makers, who have seen their margins slashed.

 

Even so, LED-based replacements for conventional bulbs remain an expensive option. Commenting in a University of Cambridge statement on the CamGaN/Plessey deal, Humphreys said: “LED light bulbs currently cost as much as £40, but we expect to be able to reduce that cost by a factor of five by growing on silicon.”

 

In fact, the cost of LED-based replacement bulbs is also falling fast, meaning that Plessey will be faced with a similarly fast-moving target to beat. Analyst firm IMS Research reported last October that the global average retail price of a 60 W incandescent replacement was $36 – although that figure masked a wide variation in which prices in Germany were upwards of $70 but in Taiwan were less than $20.

 

And the existing substrate technologies appear to have the headroom for further significant cost reductions. Also speaking at Photonics West, Lumileds’ R&D manager Jyoti Bhardwa told an industry panel session that he expected white-light efficacies to double in the next two years, while a similar level of cost reduction would see LED replacements on sale for just $5.

 

One company to back up that theory is GT Advanced Technologies, one of the key suppliers of equipment for sapphire boule manufacturing – the raw material from which sapphire substrates are produced – through its Crystal Systems subsidiary.

 

GT told optics.org that it believed the real battleground between sapphire and silicon substrates for LED production would be fought out when the industry transitions to 8-inch wafer sizes, and that it was making good progress on sapphire at the larger size.

 

• One of the oldest names in the semiconductor industry, Plessey Semiconductors was founded in 1957 – the same year that it produced one of the earliest integrated circuits. During World War II, its parent company began research at the influential Caswell campus in central England, where Oclaro now manufactures semiconductor lasers for optical telecommunications.

 

After several subsequent mergers and acquisitions, the Plessey name all but disappeared – before a management buy-out in early 2010 saw it re-emerge under the guise of the privately-owned Plessey Semiconductors Ltd.

 

India Plans Wafer Fab

By the end of the year, details of the proposed Indian semiconductor wafer fab will be announced. Talks between the federal government and interested companies are now taking place.

 

"The last time the government announced its scheme to have a wafer fabrication plant in India, well, it did not happen," said Sachin Pilot, minister of state for communications and information technology.

 

Pilot said India's government is more flexible than it had been a few years ago, when a government-backed scheme to locate a chip fab in India failed. "We are far more willing to meet [a potential investor] halfway down the line," Pilot said. Pilot said the government is currently discussing what technology node an Indian wafer fab would be capable of and how long that technology is likely to remain relevant.

 

Speaking at the India Semiconductor Association's (ISA) annual summit, Pilot said a decision will likely be made in the next few months, and at the latest, by the year-end.

 

India's government has said it plans to locate a wafer fab in the country and that the fab would be the beginning of a chip manufacturing ecosystem in India.

 

"The government will answer this problem by investing in and do whatever else is needed for a fab," said R. Chandrashekhar, secretary of India's Department of Information Technology. "Significant progress has been made in our talks with potential contenders."

 

Chandrashekhar said India's imminent National Electronics Policy would address the possibility that imports of electronic components and products would overtake the amount spent on petroleum imports within a decade.

 

The seventh annual summit of the ISA, like the first one, is grappling with the issue of a lack of an electronics manufacturing ecosystem in the country. Speaking at the summit, Aart de Geus, chairman and CEO of EDA and IP vendor Synopsys Inc., said the question of whether India should have electronics manufacturing would be somewhat addressed due to the success of the Akash tablet, the low-cost, Android-based tablet designed by U.K. firm Datawind and built by India-based Quanta, which is distributed to Indian college students and sold commercially at a subsidized price.

 

"This brings up the question of electronics manufacturing—power availability, distribution, Wi-Fi access, software, fabless semiconductor design—and India must decide which areas it wants to differentiate itself in before spending billions on a fab," de Geus said. "The [pent-up] demand for the tablet computer begs the question of manufacturing in India."

 

TI Closes Semiconductor Fabs in TX and Japan

While announcing its Q4 2011 results, Texas Instruments said it would close two older semiconductor manufacturing facilities in Hiji, Japan and Houston, TX over the course of the next 18 months. Production from these sites will be moved to other more advanced TI facilities.

 

Combined, the Hiji and Houston semiconductor manufacturing factories supported about 4% of TI's revenue in 2011, and each employs about 500 people.

 

The total charge for these closures is estimated at about $215 million, of which $112 million was incurred in the fourth quarter and the remainder will occur over the next seven quarters. Annual savings will be about $100 million once the transition is complete. "These sites have made strong, high-quality contributions over the 30-plus years each has operated," said Templeton. "They demonstrate the tremendous cash flow potential associated with analog products, where factory lives are literally measured in decades. However, we're now at the point where each of these sites requires significant upgrades, and it makes financial sense to shift production to larger, more advanced facilities."

 

AXT to Expand with another Plant in China

The facility will expand the firm's gallium arsenide, indium phosphide and germanium substrate manufacturing capacity. It will complement the company's current 190,000 square foot facility in Beijing.

AXT has agreed with the Administrative Commission of Tianjin Economy and Technology Development Zone to establish a second manufacturing facility in Tianjin, China.

 

The arrangement provides AXT with land use rights for approximately 32 acres of industrial land located in Yixian Scientific and Industrial Park to construct a compound semiconductor substrate manufacturing facility that would be completed in phases by 2017.

 

AXT has committed to a $12.5 million investment in the construction of the facility over the next two years in exchange for land use rights, enterprise and individual income tax rebates, employee hiring and development subsidies, and other benefits.

 

"We are very pleased for the opportunity to begin planning our future expansion in such a vibrant and dynamic area of China and in cooperation with the Administrative Commission of Tianjin Economy and Technology Development Zone," said Morris Young, chief executive officer.

 

"While our current facility in Beijing continues to provide us with ample capacity to grow our business for the next 18 to 24 months, we believe that a second manufacturing facility in Tianjin will provide us with additional capacity for many years to come, particularly in light of positive trends in the demand for wireless devices, the proliferation of LEDs and the adoption of photovoltaic technology. The positive financial considerations of this arrangement, coupled with what we believe to be our longer-term capacity needs, provide compelling incentives to proceed with our expansion plans at this time. This arrangement allows us to begin planning for growth and redundancy in our business in a measured and incremental way that is suitable both to the current economic conditions and the sizeable opportunity ahead," he concluded.

 

Presto Engineering Semiconductor Opens in Israel

Presto Engineering, integrated semiconductor test and product engineering services provider, opened its newest Hub to serve the semiconductor design community, in Israel. This Hub was established through Presto Engineering's acquisition of the assets of ITH (Israel Test House).

 

The new Hub offers the Israeli electronics and semiconductor community a range of chip testing, reliability/stress testing and failure analysis (FA) services. It will coordinate with Presto Engineering's existing Hubs in Silicon Valley and Europe.

 

"Israel continues to be a premier market for microelectronics, especially in the area of high speed communications," stated Dr. Michel Villemain, Presto Engineering founder and CEO. ITH has served the Israeli market for 16 years, partnering with Presto Engineering for the last 4. Presto Engineering plans to continue existing services, current staff, and capabilities. It will also update and expand the service offering.

 

Presto Engineering, an ISO 9001 company, delivers comprehensive test and product engineering solutions to IDM and fabless semiconductor companies from hubs in Silicon Valley, Europe and Israel.

 

Obama to Visit Intel’s Chandler Facility

After laying out his vision for moving the nation’s economy forward in his State of the Union address, President Barack Obama visited Intel Corp’s sprawling complex in Chandler to highlight the need to revive American manufacturing.

 

Breathing new life into the moribund manufacturing industry, along with developing clean, alternative energy sources and training workers for the future, will form the core of Obama’s plan for getting the economy back on track and positioning it for the future, senior White House officials said Monday.

 

By visiting Intel’s campus, Obama will signal that the high-tech giant is a model of how a company can find ways to keep manufacturing in the United States. Many other manufacturers have exported jobs to other countries with cheaper labor costs and more efficient factories, stripping away many American middle-class jobs.

 

Intel Corp., which produces semiconductor chips used in personal computers and servers, is building a massive $5.2 billion fabrication plant at the Chandler campus to produce microchips. When finished in 2013, the plant will be the most advanced, high-volume chip-making plant in the world, company officials have said.

 

The plant will create more of the higher-wage, higher-skilled positions needed in a state still reeling from job losses from the recession Intel has said. About 1,000 people will be needed to run the operation.

 

Known as Fab 42, the project has already created thousands of construction jobs in the area since ground was broken last summer.

 

Semiconductor Foundry Adds 200mm Reactor

Expertech's Compact Thermal Reactor, a complementary product to its line of vertical and horizontal furnaces, was ordered by a US semiconductor foundry to bolster 200mm wafer capacity. Orders also have been received for university R&D labs and solar development work.

 

The Compact Thermal Reactor (CTR) offers processes for atmospheric and low pressure chemical vapor deposition (LPCVD), including hydrogen and high-temperature wafer processing, for semiconductor manufacturing. The CTR has a small footprint and an integrated automated loader and gas panel. The CTR model also is stackable. The Windows-based host management system allows for data collection and upstream management. It is adaptable for solar, micro electro mechanical system (MEMS) and other nanotechnologies.

 

The CTR 125 processes substrates up to 125mm diameter and the CTR 200 extends the process range to 200mm substrates (and processes 125mm and 156mm square substrates, too).

 

The CTR furnace was originally designed for pilot line production, R&D, and government and university lab environments but has also found a niche in production lines to relieve work-in-progress (WIP) roadblocks. This order will be delivered to a domestic semiconductor foundry to add 200mm capacity to the production floor with a value-priced system instead of an additional full-size 200mm furnace.

 

Recently, multiple stacked units have been delivered, including multiple two-high and four-high configurations. The most recent orders were booked in Q4 2011 and will ship in Q1 2012. Expertech will assist in the installation and provide training at the customer sites.

 

Expertech manufactures thermal processing systems and supplies remanufactured and refurbished systems to semiconductor, MEMS, photovoltaics, sensor, and optical coating manufacturers.

 

Optomec Expands Aerosol Jet Lab

Optomec Inc., additive manufacturing systems provider, opened its new and expanded Advanced Applications Lab and Product Development Facility in St. Paul, MN. The facility will help Optomec grow its Aerosol Jet technology for advanced printed electronics applications.

 

The new facility comprises 7600 square feet of office and general laboratory space and will be utilized for both advanced development of Aerosol Jet Printed Electronics Applications and for new product engineering. Dr. Mike Renn will continue his role as Director of Aerosol Jet Advanced Application Development, and John Lees, recently appointed Director of Aerosol Jet New Product Development, will lead the product engineering team at the new facility. In conjunction with the expansion, Optomec is looking to double its local staff.

 

Optomec's Aerosol Jet systems for Printed Electronics utilize a proprietary material deposition process to direct write high resolution electronic circuitry, components and even complete devices on 2D and 3D surfaces. The Aerosol Jet deposition process is highly efficient and supports a wide variety of electronic materials compared to traditional subtractive manufacturing processes. Aerosol Jet systems can be used to both lower costs and enhance performance of current electronic devices, as well as to enable the creation of next generation products, such as 3D semiconductor packaging, high efficiency solar cells and solid oxide fuel cells.

 

Optomec provides of additive manufacturing solutions for high-performance electronics, solar, medical, and aerospace & defense applications using its Aerosol Jet printed electronics technology and LENS powder-metal fabrication technology.

 

Air Liquide Doubles Semiconductor Fab Precursor Production

Air Liquide Electronics completed a series of expansions at its ALOHA manufacturing sites in the United States (California), France (Chalon) and Japan (Tsukuba). The company has doubled its advanced precursor production capacity, and will be able to introduce multiple products with the updated facilities.

 

Air Liquide is expanding its precursor production for advanced, nanoscale semiconductor manufacturing processes. The precursors increase the electrical and mechanical performance of film materials used in microelectronics fabrication. The ALOHA product line includes all the advanced CVD and ALD precursors for sub-65nm semiconductor device manufacturing.

 

Fremont, CA's site supports mass production of the ALOHA precursors. Its production area doubled, with a new laboratory for joint development projects with customers and technology providers working on advanced deposition materials. Chalon and Tsukuba have expanded with an eye to more capacity for key products.

 

ZyALD for second generation ZrO2 high-k materials for advanced DRAM, silicon precursors for a variety of sub-32nm applications such as patterning and gapfill in memory and high-end logic chips, high-k metal gate (HKMG) precursors now qualified on major OEM platforms, metallization precursors for copper capping or barrier layers as well as DRAM capacitor electrodes, TORUS precursors for ruthenium metallization, low-k precursors and ancillary materials for advanced back-end dielectric stacks, new materials for new devices such as resistive (ReRAM) and phase change (PCRAM) memory.

 

Air Liquide has also decided to broaden its offering beyond carrier and specialty gases and precursor materials used in the manufacturing process into fluorine cleaning gas solutions. Starting in 2012, flat panel display and silicon thin film photovoltaic manufacturing sites will have access to this environmentally friendly gas.

 

Air Liquide provides gases for industry, health, and the environment. Air Liquide Electronics is the global organization of Air Liquide dedicated to the semiconductor, photovoltaic and flat panel markets. Air Liquide is listed on the Paris Euronext stock exchange (compartment A) and is a member of the CAC 40 and Dow Jones Euro Stoxx 50 indexes.

 

STATS ChipPAC Expands with New Singapore Facility

STATS ChipPAC Ltd., semiconductor test and advanced packaging service provider, held the groundbreaking ceremony for a new factory in Singapore. The new 197,000 square foot building will be located next to the company’s current factory in Yishun, Singapore and will enable STATS ChipPAC to expand its manufacturing capabilities for advanced wafer level packaging (WLP) technologies including embedded Wafer Level Ball Grid Array (eWLB), Wafer Level Chip Scale Packaging (WLCSP), Integrated Passive Devices (IPD) and Through Silicon Via (TSV).

 

STATS ChipPAC had invested approximately US$250 million in Singapore over the last three years to expand its technology offering and manufacturing capacity. The new factory will allow the company to further expand its capacity in advanced wafer level packaging and test solutions to support growing customer demand. The company expects to invest an additional US$220 million in Singapore over the next several years.

 

“We believe our technology leadership, manufacturing capabilities and new capacity in Singapore will provide a strong foundation for future expansion in our advanced wafer level package offering in eWLB, WLCSP, IPD and TSV. Advanced wafer level technologies are essential to support our customers’ requirements for increased performance and functionality in a smaller footprint for sophisticated mobile devices such as smartphones and tablets,” said Tan Lay Koon, President and Chief Executive Officer, STATS ChipPAC. “Expanding our global manufacturing footprint in advanced wafer level packaging will further strengthen our leadership in these technologies and reinforce Singapore’s position as a leading location for advanced packaging technology.”

 

Wafer level packaging has been one of the highest growth segments in the semiconductor industry with demand that has outpaced the available market capacity. STATS ChipPAC’s significant focus on the development of wafer level technologies has resulted in a broader range of advanced process capabilities and package architectures for high performance mobile and consumer applications.

 

“The new factory in Singapore will greatly contribute to our company’s overall strategic growth initiatives. Our larger presence in Singapore is an important step in enabling us to further optimize our overall manufacturing activities by concentrating on advanced packaging capacity that will generate more cost, productivity and efficiency advantages. The combination of excellent infrastructure and semiconductor ecosystem in Singapore and our depth of technical knowledge and advanced packaging production volume experience will enable our operation in Singapore to thrive in the globally competitive Outsourced Semiconductor Assembly and Test (OSAT) industry,” said Lew Hon Sang, Managing Director, STATS ChipPAC Singapore. “In 2010, we celebrated the grand opening of our new 300mm eWLB manufacturing in Singapore. Today’s groundbreaking is another major milestone for STATS ChipPAC Singapore.”

 

Once the new building is completed, STATS ChipPAC’s combined manufacturing space in Singapore will increase from 595,000 to 792,000 square feet. The new facility is expected to be operational by the fourth quarter of 2012.

 

STATS ChipPAC Ltd. is a leading service provider of semiconductor packaging design, assembly, test and distribution solutions in diverse end market applications including communications, digital consumer and computing. STATS ChipPAC is listed on the SGX-ST.

 

ON Semiconductor Receives Trusted Foundry and Broker Accreditations

Department of Defense & Defense Microelectronics Activity accreditations make ON Semiconductor a trusted, secure source for design and manufacture of military and aerospace ASICs and supply chain management 

 

ON Semiconductor, a premier supplier of high performance silicon solutions for energy efficient electronics, has been granted Category 1A Trusted Foundry accreditation for its Pocatello, Idaho, 'Fab 10', and Gresham, Oregon, facilities. The Trusted Foundry Program is a Department of Defense (DOD) project to accredit trusted, secure sources for the development and manufacture of Application Specific Integrated Circuits (ASICs) for a wide range of critical DOD applications including GPS, thermal imaging and encrypted media. The program seeks to negate the potential risk of system counterfeiting, tampering or sabotage.

 

In addition to Trusted Foundry Accreditation, ON Semiconductor has also been granted 'Broker' accreditation with Defense Microelectronics Activity (DMEA). This enables ON Semiconductor to support the entire trusted manufacturing process through engagement with other trusted suppliers for design, packaging and test. The combination of the two accreditations benefits military and defense customers by providing a fully integrated, trusted manufacturing solution.

 

The two accredited ON Semiconductor facilities encompass a wide range of capabilities and technologies to support a large number of diverse military and aerospace applications. The Pocatello 'Fab 10' foundry has a 40,000 square foot (ft2) clean room manufacturing space and supports several processes down to 350 nanometer (nm) CMOS digital / mixed-signal. Gresham has a 100,000 ft2 clean room and offers several process varieties including 250 nm digital / mixed-signal, 180 nm CMOS digital / mixed-signal and 110 nm CMOS digital 'SP110' processes.

 

"Achieving Trusted Foundry and 'Broker' accreditations is a valuable and important endorsement of our end-to-end ASIC design and manufacturing operations," said Vince Hopkin, vice president of the Digital, Mil-Aero and Image Sensor division at ON Semiconductor. "ON Semiconductor uniquely offers a broad range of CMOS and BCD Trusted Foundry technologies from 500 nm to 110 nm making us one of a limited number of suppliers to offer such a wide range of Trusted technologies to our defense and aerospace customers."

 

 

McIlvaine Company

Northfield, IL 60093-2743

Tel:  847-784-0012; Fax:  847-784-0061

E-mail:  editor@mcilvainecompany.com

www.mcilvainecompany.com