SEMICONDUCTOR

UPDATE

 

July 2008

 

McIlvaine Company

www.mcilvainecompany.com

 

TABLE OF CONTENTS

 

Hynix Closes U.S.A. Facility

GPS Rakon Forms Joint Venture with Timemaker

IBM Corp to Invest $1.5 Billion to Build Semiconductor Plant

Seventy New Jobs in Shannon and Limerick

Rohm and Haas Electronic Materials Opens Center in Taiwan

Applied Materials Breaks Ground for Asia Operations Center in Singapore

Rohm Haas Opens Wafer Processing Facility

Samsung and Siltronic Open Joint Venture in Singapore

Angstrem Upgrades Fab in Russia

Malaysian Company to Build Production Facility

 

 

 

Hynix Closes U.S.A. Facility

Hynix Semiconductor Inc. decided to close its sole U.S. factory, a step that will eliminate 1,100 jobs, saying its equipment has become outmoded and the facility is too costly to update.

 

The move comes a few weeks after Hynix Semiconductor learned that tariffs the U.S. government has imposed on it might end next year. The plant in Eugene, Ore., had avoided these tariffs, which applied only to its factories in South Korea.

 

GPS Rakon Forms Joint Venture with Timemaker

GPS chip Maker Rakon Will form a Joint Venture with Chinese based Timemaker Crystal Technology Limited (Timemaker).

 

Rakon will hold a 70 percent share in the JV and will also take a 40 percent share in Timemaker for an undisclosed sum.

 

Timemaker is the world's leading manufacturer of high specification quartz crystal blanks, which is the key component in crystal resonators.

 

Production of these blanks is technically demanding and labour intensive. High specification quartz crystal resonators and oscillators that form vital parts in global position systems are dependent on the quality of the crystal blank.

 

Rakon said it remained committed to its New Zealand manufacturing base and stressed that its move into China was not a move out of New Zealand.

 

Rakon will maintain the capability to manufacture quartz blanks in Auckland, but it has been outsourcing the manufacturing of high volume production for many years.

 

Plans for the Chinese operations were at an advanced stage and Rakon expects to begin construction in the fourth quarter of this year with production to start in late 2009.

 

The Chinese facility will focus on manufacturing quartz crystals resonators and Temperature Compensated Crystal Oscillators (TCXOs) for high-end and high-volume consumer applications, including the rapidly emerging GPS cellular phone market.

 

Timemaker would continue to focus on supply high specification quartz blanks to its global customer base.

 

"China is the centre for manufacturing consumer electronics and we expect significant growth there in the coming years as mobile phones, GPS and other applications become even more prolific," Mr. Robinson, managing director, said.

 

Over the next five years Rakon plans to invest between $45 million and $70m in China to meet market growth. The timing and amount of investment will depend on the speed of market growth and Rakon's success in capturing it.

 

Mr Robinson said Rakon had recently expanded its Auckland manufacturing plant to accommodate the growth it had been experiencing.

"The instalment of a fifth crystal line in our cleanroom and the commissioning of our next generation TCXO test system are significant investments in our future here," he said.

 

Its Auckland facility would continue to develop as a centre for innovation and development and will spearhead the manufacturing of new products and technology, he added. Rakon shares closed yesterday at $2.60. They have fallen from $5.50 in November.

 

IBM Corp to Invest $1.5 Billion to Build Semiconductor Plant

IBM Corp. will invest $1.5 billion to add at least 325 jobs to the Albany area and build a new semiconductor plant somewhere in Upstate New York.

IBM is already the largest tenant at the University at Albany's College of Nanoscale Science and Engineering, and it plans to add 325 more research jobs there. The state government is providing $25 million for new semiconductor equipment at that site.

 

In addition to that, IBM will build a 120,000-square-foot semiconductor packaging center in upstate New York. A final location has not yet been named. That facility, to be owned and managed by Albany NanoTech, will create more than 675 jobs when fully operational, officials said.

 

All told, the state will spend $140 million on the developments, meaning that IBM is investing more than $10 to every $1 that New York will spend.

 

Alain Kaloyeros, CEO of Albany NanoTech, lauded the deal as a "visionary and pioneering investment" bringing "critical job creation and funding benefits spanning from Buffalo to Hudson Valley."

 

As part of the deal, IBM agreed to leave its 1,000-member work force in Dutchess County untouched, despite the company's recent announcements of job cuts elsewhere in the nation.

 

Seventy New Jobs in Shannon and Limerick

More than 70 New Jobs Are Being Created at Three Companies in Shannon and Limerick.

 

Mentor Graphics Ireland Ltd is to recruit 35 new workers while PAFS Ireland Ltd has created 15 new posts in Shannon. Tanaiste and Employment Minister Mary Coughlan said the job boost was a strong vote of confidence in the facilities and skilled workforce of the area.

 

In Limerick, 49 design and engineer jobs are being created at a new research and development centre for US-based company ON Semiconductor. Some 28 workers are already in place with a further 21 to be recruited at the centre, which will develop components for gaming consoles as well as server, desktop and laptop computers.

 

Rohm and Haas Electronic Materials Opens Center in Taiwan

Rohm and Haas Electronic Materials’ CMP Technologies division has opened a new Asia Technical Center (ATC) in Hsinchu, Taiwan to enable CMP technology development and collaboration with Asia-based customers. The center will also provide engineering and technical support throughout the Asia-Pacific region.

 

The ATC houses an applications laboratory with 300mm CMP polishing capabilities, state-of-the-art 300mm metrology cleanroom facilities (Class 10 (ISO Class4) and Class 1000 (ISO Class 6), andCMP Technologies’ Applications Engineering and Technical Service Groups for the Asia-Pacific region. The ATC also has capacity for expansion, and a further analytical lab for slurry and pad product characterization is currently under construction. The Hsinchu site is ISO 9001 and ISO 14000 certified, and adheres to Six-Sigma principles for all operations.

 

“Taiwan is the largest producer of semiconductor devices in the world, due to its strong mix of logic and memory operations,” said Mario Stanghellini, Executive Vice President and General Manager, Rohm and Haas Electronic Materials, CMP Technologies, Asia. “The new ATC gives us a critical ability, unmatched in the region, to work with these manufacturers to develop and optimize CMP consumable sets and processes that directly improve their bottom line.”

 

Applied Materials Breaks Ground for Asia Operations Center in Singapore

Economic Development Board Chairman Lim Siong Guan, Applied Materials executives, and U.S. Ambassador Patricia Herbold broke ground for the construction of a new Singapore operations facility that will serve as a hub for Applied's business activities throughout Asia. The 32,000 square meter (344,320 sq. ft.) facility in the Changi North Industrial Park is expected to be completed in late 2009 and will be designed to meet some of the highest green building standards in the world. Applied also announced an innovative education initiative at the event.

 

"Sitting at a virtual crossroads between India and China, Singapore has proven to be a friendly and great place to do business with its strong transportation and logistics network, extensive supplier base and talented workforce," said Mike Splinter, president and CEO of Applied Materials. "Our state-of-the-art campus will showcase our commitment to the environment while bringing enhanced capabilities closer to our customers throughout Asia."

 

The Operations Center will be a base for global purchasing, sales, manufacturing, engineering and financial groups to support the Asia region chip industry and the rapidly growing solar markets in India and China. New operations will help achieve reductions in material cost and cycle time while expanding Applied's merge-in-transit and global supply chain capabilities. Employees will also continue to support Singapore's strong chip manufacturing industry. The facility is designed to standards aimed at earning BCA Green Mark Platinum certification, the highest environmental rating for a building in Singapore. Current plans include an installation of what will likely be the largest commercial solar array deployed in Singapore, low-e glass curtain walls, LED and fluorescent lighting, an energy efficient air conditioning system and a sophisticated water treatment and rainwater capture system. The site will also incorporate extensive native landscaping. Applied has served customers from locations in Singapore for 17 years and currently has approximately 400 employees in the country.

 

As part of its global commitment to education and improving communities where it does business, Applied also announced its sponsorship of the Environment Education Hub at the Marsiling Secondary School. Through its sponsorship, Applied will help create a secondary school platform for teaching and learning about environmental issues concerning energy and water.

 

Applied Materials, Inc. is a global leader in Nanomanufacturing Technology(TM) solutions with a broad portfolio of innovative equipment, services and software products for the fabrication of semiconductor chips, flat panel displays, solar photovoltaic cells, flexible electronics and energy efficient glass.

 

Rohm Haas Opens Wafer Processing Facility

Rohm and Haas Electronic Materials announced the opening of its new $60 million immersion lithography facility in Marlborough, MA. The facility, which is fully equipped and has begun processing wafers, was established to support the company’s R&D in the area of advanced 193nm lithography materials for semiconductor applications.

 

The new facility has been equipped with an ASML TWINSCAN XT: 1900Gi 193nm Step and Scan system, a 300mm coat/develop track, as well as state-of-the art defect and metrology tools. Located in the company’s Advanced Technology Center (ATC) in Marlborough, the new $60 million facility is in addition to the $30 million facility, which opened in 2003.

 

Samsung and Siltronic Open Joint Venture in Singapore

South Korea's Samsung Electronics and Siltronic AG of Germany have opened their one-billion-US-dollar joint venture microchip plant in Singapore.

 

Siltronic Samsung Wafer Pte Ltd will produce 300 mm wafers and aims to reach a monthly capacity of 300,000 by 2010.

 

Siltronic AG is a subsidiary of chemical group Wacker Chemie AG.

 

Angstrem Upgrades Fab in Russia

ANGSTREM is to convert and existing fab to 200mm wafer production with the construction of support buildings and full conversion expected to be completed by the end of 2009. M+W Zander FE GmbH has been awarded the contract that is expected to cost approximately €150 million.

 

“After successful completion of the design phase, we are very pleased that ANGSTREM-T chose M+W Zander as their partner for the turn-key construction of the new and modern semiconductor factory,” Jürgen Wild, Speaker of the M+W Zander Management Board. “Overall, we regard the Russian market as extremely dynamic for our future business.”

 

The new ANGSTREM-T fab located in Zelenograd, Russia, will have a cleanroom of about 6,000 square meters (10,560 sq. ft.)and employ 180nm through 130nm process technologies, primarily on a foundry basis. ANGSTREM had previously purchased used 200mm equipment from AMD.

 

Malaysian Company to Build Production Facility

Technology manufacturer JF Technology Berhad will invest about US$3.96 million (RM13 million) in a new manufacturing facility in Kota Damansara, Selangor.

 

The proposed integrated and expanded centre marks a long-term investment for the company and incorporates a 26,000 sq. ft. state-of-the-art production facility and another 4,000 sq. ft. to be allocated for the research and development.

 

JF Technology managing director Foong Wei Kuong announced at the ground-breaking ceremony that this major construction project would be carried out in two phases.

 

“The preliminary phase, which consists of a 45, 000 sq ft, 2-storey new building, is scheduled to be completed by March 2009. It will house the design, manufacturing, assembly, as well as research and development facilities for JF Technology in Malaysia,” said Foong.

 

JF Technology is Malaysia’s major designer, manufacturer and assembler of recurring customized test probe and socket consumables for the semiconductor industry worldwide. Incorporated in April 1999 and ISO 9001:2000 certified, JF Technology listed on Malaysia’s MESDAQ (Malaysian Exchange of Securities Dealing & Automated Quotation) in April 2008. Its products comply with the RoHs (Restriction of Hazardous Substances) requirement as environmental-friendly ‘green’ product. JF Technology Berhad’s wholly-owned subsidiaries include JFoong Technologies Sdn Bhd and JF Microtechnology Sdn Bhd.

 

McIlvaine Company,

Northfield, IL 60093-2743

Tel:  847-784-0012; Fax:  847-784-0061;

E-mail:  editor@mcilvainecompany.com;

Web site:  www.mcilvainecompany.com